AMD K10

La microarchitecture K10, la neuvième d'AMD, succède à la microarchitecture K8.



Catégories :

Microprocesseur x86 AMD - Microprocesseur x86 - Microprocesseur par architecture - Microprocesseur

Recherche sur Google Images :


Source image : fr.wikipedia.org
Cette image est un résultat de recherche de Google Image. Elle est peut-être réduite par rapport à l'originale et/ou protégée par des droits d'auteur.

Page(s) en rapport avec ce sujet :

  • ... C'est en décembre que le Phenom succédera à l'Athlon 64. En attendant, nous vous proposons de jeter un œil à cette architecture K10 qu'AMD... (source : hardware)
  • ... Ce n'est un secret pour personne, AMD peaufinne aujourd'hui sa prochaine génération de processeur connue sous le nom de code K10 et sous le ... (source : clubic)
  • AMD K10 : l'architecture du renouveau ? A quelques jours du lancement de la première version du K10, nous vous proposons un solide article... (source : presence-pc)
Die d'un Phenom (Barcelona)

La microarchitecture K10, la neuvième d'AMD, succède à la microarchitecture K8.

Elle est introduite sur le Phenom. Comparé à K8, les flottants sont désormais traités sur 128bits, et la bande passante interne augmente.

Nomenclature

AMD abandonne le P-rating (xx00+) pour une nouvelle numérotation. 2 lettres plus 4 chiffres 1ère lettre gamme 2ème lettre dissipation : TDP

1er chiffre famille/architecture : 7 : Phenom 4 cœurs, 6 Phenom bi cœurs, 2 : Athlon X2, 1 : Athlon mono cœur et Sempron 2ème chiffre et 3ème chiffre puissance en fréquence 4ème chiffre fonctionnalités éventuelles

Historique

Avant qu'AMD publie officiellement ce nom de K10 par les voix de Giuseppe Amato et de Philip G. Eisler (respectivement directeur technique des Ventes et du marketing pour l'Europe et vice-Président de la division chipset d'AMD) en février 2007[1], la presse spécialisée attribuait logiquement le nom K8L à la nouvelle architecture. The Inquirer pensait tandis que le "L" se rapportait au chiffre romain signifiant 50, il s'agirait alors du K8.50, soit une version à mi-chemin entre l'architecture K8 et K10. Il apparaît dans l'interview des responsables AMD que le K8L était une appellation pour des processeurs d'architecture K8 pour PC portable en 65 nm.

Technologies et caractéristiques

Gravure

Possible die d'un K10 à gauche.

Les premiers microprocesseurs de la génération K10 seront exclusivement gravés grâce à la technologie de gravure en 65 nm d'AMD en partenariat avec IBM[2] qui utilise des wafers SOI (Silicium sur isolant) 300 mm UNIBOND™ du fabricant français Soitec qui entretient un partenariat privilégié avec AMD[3]. Le partenariat avec IBM permet aussi à AMD d'utiliser la technologie SiGe d'IBM (ajout de germanium en plus de silicium en vu de rendre les transistors plus performants). Ces microprocesseurs seront sûrement fabriqués dans l'usine Fab 36 d'AMD à Dresde en Allemagne qui produit déjà les Athlon 64 en 65 nm[4]. L'usine pourra produire normalement aux alentours de 100 millions de processeurs par an dès 2008 (pour 20 000 wafers) [5] ce qui coïncide avec l'arrivée de l'architecture K10. AMD utilise pour sa gravure en 65 nm ses technologies[6] Continuous Transistor Improvement (CTI) ou amélioration continue de transistor et Shared Transistor Technology (STT) ou partage technologique des transistor mais aussi la technologie Dual Stress Liner (DSL).

Il existera peut-être ensuite des processeurs K10 gravés en 45 ou alors en 32 nm (Deneb FX, Deneb, Propus, Regor et Sargas), puisqu'AMD compte produire des processeurs grâce à la technologie de lithographie par immersion dès 2008[7].

Mémoire

Les processeurs de la famille K10 tout comme leurs prédécesseurs K8 possèderont leur contrôleur mémoire intégré[8] contrairement aux processeurs Intel qui laissent cette charge au chipset. Cette caractéristique a été en partie responsable du succès des Athlon 64 en réduisant énormément les latences pour l'accès à la mémoire RAM quand la norme était la DDR-SDRAM première du nom. En effet avec ce type de barrettes, les latences de la RAM étaient de 2-2-2-5 pour les meilleures DDR400. Mais lors de l'introduction de la DDR2, l'atout de l'Athlon 64 s'est atténué car les latences ont explosé et l'augmentation de fréquence n'a pu que compenser cette chute de performance. Ainsi les Athlon 64 sur socket AM2 sont juste aussi performant que les Athlon 64 sur socket 939[9]. Les latences mémoires ayant sérieusement diminué, la DDRII ne pose plus de problème. Les K10 seront faits pour supporter de la DDR2 1066MHz en standard. Les serveurs exploiteront la DDR2 800 tout d'abord.

Les prochaines révisions de cœur de la famille K10 (Deneb FX, Deneb, Propus, Regor et Sargas) prévues pour l'année 2008 ou alors 2009 seront elles, tournées vers la mémoire DDR3 et le 45 nm qui n'est pas encore sur le marché[10]. Ils seront équipés de 4 ou 6 Mio de cache L3.

Sockets

AMD a fait le choix d'une certaine continuité lors de ce passage au K10. Il n'y aura par conséquent pas comme lors du passage de l'architecture K7 à K8 un changement radical de socket (alors socket A vers socket 754 puis 939 et AM2). AMD a par conséquent appelé le socket de son nouveau processeur AM2+ pour marquer la proximité avec le socket AM2. Le socket AM2+ accueillera par conséquent l'ensemble des processeurs K10 à l'exception des processeurs socket 1207 incompatibles. C'est un socket de 940 broches. Les différences entre le socket AM2 utilisé aujourd'hui pour les K8 et le socket AM2+ seront la gestion de l'hypertransport 3.0 par ce dernier et la gestion avancée de l'énergie puisque chaque cœur disposera d'une tension propre. Sur socket AM2, les processeurs pourront tout de même fluctuer leur fréquences indépendamment mais pas leur tension. Il y a rétro compatibilité et on pourra profiter de l'architecture K10 sur une carte mère AM2.

Les chipsets pour AM2+ déjà annoncés sont le Nvidia Nforce 7 nom de code MCP72[11], le VIA KT960 et KM960[12] mais également les chipsets d'ATI (maintenant propriété d'AMD) RD790+, RD780, RS780, RX780, RS740 et RX740[13].

Les K10 socket AM3 auront certainement deux contrôleurs mémoire, un DDR2 et un DDR3 ainsi ils fonctionneront idéalement avec une carte mère AM2+. Cependant cette information est à mettre entre pincettes, AMD pourrait particulièrement bien changer d'avis car le coût en transistors de deux contrôleurs mémoire pourrait être élevé.

Un K10 AM2+ ne sera pas compatible AM3.

Spécifications

Les caractéristiques complètes des K10 sont celles du premier cœur K10, à savoir le Barcelona. Les versions desktop seront probablement différentes puisque le Barcelona est fait pour le marché serveur aux demandes spécifiques.

Performances

Lors d'une démonstration le 30 novembre 2006[24], [25], AMD annonce et montre à la presse que le Barcelona sera globalement 40% plus performant qu'un Xeon 5355 (quad-core à 2, 66 GHz). Dernièrement AMD affirme que son processeur devrait devancer les performances des Xeon quadri-cœurs de 50% sur les calculs en virgule flottante et de 20% sur des calculs liés à des nombres entiers[26]. Cela dit, il est bon de préciser qu'une telle comparaison ne peut pas encore être vérifiée et que cette comparaison s'applique pour des fréquences identiques entre le processeur d'architecture K10 d'AMD et le Xeon d'Intel. De plus il ne s'agit là que de tests théoriques.

Début mai 2007, AMD a fait une nouvelle démonstration plutôt impressionnante de ses futurs K10[27]. C'est au CTO Technology Summit à Monterey, en Californie qu'AMD a dévoilé une machine disposant de deux processeurs K10 quadri-cœurs. La machine de 8 cœurs a été capable d'encoder à la volée, c'est-à-dire en temps réel, une vidéo 720p (1280 × 720) et une 1024p.

Famille de processeur

Toute la gamme d'AMD passera dans peu de temps à l'architecture K10. On retrouvera des appellations connues et des nouveaux noms. L'Opteron pour serveur bi et quadri processeur connu sous le nom de code Barcelona sera le premier K10 à devoir faire ses preuves, un deuxième cœur Budapest viendra renforcer la gamme Opteron sur le marché des serveurs uni-processeurs. Le grand public aura le choix entre le Phenom X4 (Agena), et le Phenom X2 (Kuma). L'expression Athlon 64 disparaissant (pour le haut de gamme), toute confusion entre les K8 et les K10 disparaît. On trouvera aussi des versions FX et Low power. Les Athlon x2 64 (Rana) formeront l'offre bi-cœur d'entrée de gamme, les sempron (Spica) seront les seuls mono-cœur K10, et les Turion (Griffin) seront dédiés aux plates forme portables.

Tableau réalisé sur les informations de la roadmap AMD et de Clubic (Opteron) et (desktop)

65 nm

Serveur

Article connexe : Opteron.

L'Opteron est la version du K10 destinée aux serveurs, ainsi qu'aux stations de travail. Les version SE sont les versions haut de gamme de la série au TDP de 120W, les versions standards ont un TDP de 95W et les version HE (High Efficiency) sont les versions qui bénéficient d'un TDP réduit à 68W.

modèles Opteron
Nom du modèle Nombre de cœurs Fréquence TDP (W) Sockets compatibles Cache L1 Cache L2 Cache L3 Vitesse de Bus (MT/s) Date de sortie
Opteron pour serveur mono-processeur. Budapest
Opteron série 1000
Opteron 1252 4 2, 1 GHz 95 AM2/AM2+ 4 × 128 kio 4 × 512 kio 2 Mio 5200 avril 2008
Opteron 1254 4 2, 2 GHz 95 AM2/AM2+ 4 × 128 kio 4 × 512 kio 2 Mio 5200 avril 2008
Opteron 1256 4 2, 3 GHz 95 AM2/AM2+ 4 × 128 kio 4 × 512 kio 2 Mio 5200 avril 2008
Opteron série 1000 SE
Opteron 1258 SE 4 2, 4 GHz 120 AM2/AM2+ 4 × 128 kio 4 × 512 kio 2 Mio 5200 2008
Opteron 1260 SE 4 2, 5 GHz 120 AM2/AM2+ 4 × 128 kio 4 × 512 kio 2 Mio 5200 2008
Opteron pour serveur bi-processeur. Barcelona
Opteron série 2000 hE
Opteron 2244 hE 4 1, 7 GHz 68 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000  ?
Opteron 2246 hE 4 1, 8 GHz 68 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000  ?
Opteron 2248 hE 4 1, 9 GHz 68 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000  ?
Opteron 2250 hE 4 2, 0 GHz 68 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000  ?
Opteron série 2000
Opteron 2248 4 1, 9 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 Rentrée 2007
Opteron 2250 4 2, 0 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 Rentrée 2007
Opteron 2252 4 2, 1 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 2254 4 2, 2 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 2256 4 2, 3 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 2258 SE 4 2, 4 GHz 120 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 2260 4 2, 4 GHz 120 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 2008
Opteron série 2000 SE
Opteron 2258 SE 4 2, 4 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 2260 SE 4 2, 5 GHz 120 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 2262 SE 4 2, 6 GHz 120 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 2008
Opteron pour serveur quadri processeur ou plus. Barcelona
Opteron série 8000 hE
Opteron 8248 hE 4 1, 9 GHz 68 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000  ?
Opteron 8250 hE 4 2, 0 GHz 68 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000  ?
Opteron série 8000
Opteron 8252 4 2, 1 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 8254 4 2, 2 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 8256 4 2, 3 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 8258 4 2, 4 GHz 95 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 2008
Opteron série 8000 SE
Opteron 8258 SE 4 2, 4 GHz 120 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 8260 SE 4 2, 5 GHz 120 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 avril 2008
Opteron 8262 SE 4 2, 6 GHz 120 1207/1207+ 4 × 128 kio 4 × 512 kio 2 Mio 2000 2008

Grand public

Article détaillé : Phenom.

La nouvelle gamme desktop d'AMD se décompose par conséquent en "Phenom FX" pour le très haut de gamme (qui sera sûrement un simple renommage d'Opteron), "Phenom X4" pour le haut de gamme et "Phenom X3" pour la moyenne gamme, "Athlon X2" et "Sempron" pour l'entrée de gamme AMD.
Les fréquences s'échelonnent entre 1 900 MHz et 2 800 MHz et le TDP entre 45 W et 89 W.
Le nombre de cœurs fluctue de 1 pour le "Sempron" à 4 pour le "Phenom FX" et le "Phenom X4" en passant par 3 pour le "Phenom X3" et 2 pour l'"Athlon X2".

Modèle Nom de code Cœurs Fréquence Cache Révision TDP HyperTransport Socket
L1 L2 L3
Phenom
9xxx Agena 4 1, 8 à 2, 6 Ghz 4 × 128 kio 4 × 512 Kio 2 Mio B2 - B3 65 à 140 W 1800 - 2000 Mhz AM2+
8xxx Toliman 3 1, 9 à 2, 5 Ghz 3 × 128 kio 3 × 512 Kio 2 Mio B2 - B3 65 à 95 W 1800 Mhz AM2+
Athlon X2
7xxx Agena 2 2, 5 à 2, 8 Ghz 4 × 128 kio 4 × 512 Kio 2 Mio B3 95 W 1800 Mhz AM2+

Mobile

Pas de processeur K10 65 nm pour ordinateurs portables.

45nm

Serveur

Article détaillé : Opteron.
Modèle Nom de code Cœurs Fréquence Cache Révision TDP HyperTransport Socket
L1 L2 L3
Opteron
8400 Istanbul 6 2, 1 à 2, 8 Ghz 6 × 128 kio 6 × 512 kio 6 Mio D0 55 à 115 W 2400 Mhz F
8300 Shanghai 4 2, 2 à 3, 1 Ghz 4 × 128 kio 4 × 512 kio 6 Mio C2 68 à 137 W 1000 MHz, 2200 Mhz F
2400 Istanbul 6 2, 0 à 2, 8 Ghz 6 × 128 kio 6 × 512 kio 6 Mio D0 115 W 2400 Mhz F
2300 Shanghai 4 2, 3 à 3, 1 Ghz 4 × 128 kio 4 × 512 kio 6 Mio C2 60 à 137 W 1000 MHz, 2200 Mhz F
Opteron en prévision
Magny-Cours 12 12 × 128 kio 12 × 512 kio 2 × 6 Mio
Sao Paolo 6 6 × 128 kio 6 × 512 kio 6 Mio

Grand public

Articles détaillés : Phenom II, Athlon II et Sempron.
Modèle Nom de code Cœurs Fréquence Cache Révision TDP HyperTransport Socket
L1 L2 L3
Phenom II
X4 9xx Deneb 4 2, 6 à 3, 4 Ghz 4 × 128 kio 4 × 512 kio 6 Mio C2 65 à 140 W 2000 Mhz AM3
X4 8xx Deneb 4 2, 5 à 2, 8 Ghz 4 × 128 kio 4 × 512 kio 4 Mio C2 95 W 2000 Mhz AM3
X3 7xx Heka 3 2, 4 à 3, 0 Ghz 3 × 128 kio 3 × 512 kio 6 Mio C2 65 à 95 W 1800 Mhz AM3
X2 5xx Callisto 2 3, 0 à 3, 1 Ghz 2 × 128 kio 2 × 512 kio 6 Mio C2 80 W 1800 Mhz AM3
Athlon II
X4 6xx Propus 4 2, 2 à 2, 8 Ghz 4 × 128 kio 4 × 512 kio - C2 45, 95 W 1800 MHz AM3
X3 4xx Rana 3 2, 2 à 2, 9 Ghz 3 × 128 kio 3 × 512 kio - C2 45, 95 W 1800 MHz AM3
X2 2xx Regor 2 2, 7 à 3, 0 Ghz 2 × 128 kio 2 × 1 Mio - C2 45 à 65 W 2000 Mhz AM3
Sempron
1xx Sargas 1 2, 7 Ghz 1 × 128 kio 1 Mio - C2 45 W 2000 MHz AM3

Mobile

Avec le Turion Griffin, AMD proposera un K10 particulièrement allégé qui ne disposera que des améliorations énergétiques du DICE. Avec son Griffin, AMD proposera aussi une plateforme : Puma. Elle utilisera un cœur graphique supportant le directX 10 et un UVD (Universal Video Decoder).

Modèle Nom de code Cœurs Fréquence Cache Révision TDP HyperTransport Socket
L1 L2 L3
Turion II ?
2 2, 4 à 2, 6 Ghz 2 × 128 kio 2 × 1 Mo - [28]
2 2, 0 à 2, 3 Ghz 2 × 128 kio 2 × 512 kio -
1 2, 0 Ghz 128 kio 512 kio -

Notes et références

  1. interview de Giuseppe Amato et de Philip G. Eisler par syndrome-oc
  2. AMD and IBM to Jointly Develop Advanced Chip Technologies
  3. «Faisant suite à une commande pour un montant minimal de 150 millions de dollars sur l'année calendaire 2006, AMD s'est engagé sur une valeur minimale de 350 millions de dollars pour une période de 15 mois qui débutera en janvier 2007. Cette commande s'inscrit dans le cadre du contrat pluriannuel de fourniture de plaques SOI 300mm UNIBOND™ utilisant la technologie Smart Cut™, propriété exclusive de Soitec. Les plaques seront livrées à AMD ainsi qu'à son partenaire fondeur.» Premier semestre 2006-2007 : Soitec annonce une forte amélioration de ses résultats, une visibilité accrue et des perspectives favorables
  4. «Ces processeurs [Athlon 64 EE] 65 nm sont tous produits dans l'usine Fab 36 d'AMD, toujours selon le procédé SOI.» AMD lance ses Athlon 64 X2 Energy Efficient en 65 nm
  5. Fab 36 devrait tourner à plein régime vers 2008, ce qui portera la production annuelle de processeurs AMD à 100 millions d'unités. AMD : Fab 36 est sortie de terre
  6. AMD facilite l'avènement de l'informatique nouvelle génération avec le passage à la technologie de production en 65 nm
  7. AMD et IBM utiliseront la lithographie par immersion pour passer le cap des 65nm
  8. Le contrôleur mémoire intégré, AMD K8 - Partie 3 : Etude de l'Architecture
  9. Athlon 64 & Sempron socket AM2, conclusion
  10. AM3, 45nm et DDR3 chez AMD en 2008
  11. Nvidia MCP72 : PCI-E 2.0 & HT 3.0
  12. Chipsets VIA KT/KM960 pour AM2+
  13. Tous les futurs chipsets 7xx d'AMD
  14. Interview AMD, plein de nouvelles infos sur Barcelona
  15. C'est dans ces 60 millions de transistors qu'on doit trouver des améliorations et de nouvelles fonctions.
  16. clones du SSE4 introduit par Intel dans ses Conrœ Extending the World's Most Popular Processor Architecture (papier officiel d'Intel) .
  17. «Les instructions qui se décodent en plus de deux µOPs, dites complexes, sont décodées par la ROM interne, ce qui nécessite davantage de temps. On dit tandis que ces instructions sont microcodées.» AMD K8 - Architecture
  18. en français dans le désordre ce qui diminué le temps d'attente des instructions.
  19. Ce qui sert à plus aisément revenir sur les erreurs de prédiction. «La pile est une zone mémoire conçue pour stocker les paramètres lors de l'appel de sous-parties d'un programme.» Etude détaillée du Pentium-M - Un traitement des instructions plus efficace
  20. «Les opérations nécessaires à la gestion de la pile ne sont plus ici traitées par les unités génériques de traitement des instructions, mais par une unité exclusivement dédiée à cette tâche, et qui porte précisément le nom de Dedicated Stack Manager. Cette unité permet, toujours selon Intel, de diminuer de 5% le nombre de micro-opérations traitées par le pipeline.» Etude détaillée du Pentium-M - Un traitement des instructions plus efficace
  21. «Cache des processeurs gérant une mémoire virtuelle contenant la correspondance entre les adresses logiques de la mémoire utilisée et les adresses physiques correspondantes.» Dictionnaire francophone des acronymes, sigles et abréviations informatiques. Cette augmentation du TLB ne devrait profiter qu'au domaine serveur.
  22. Les améliorations de performance grâce à une réduction de latence entre RAM et cache L2 ou L3 pourraient être importantes, selon Anandtech : «it really helps the entire chip improve performance and can do a good job of spotting trends that would positively impact all cores»
  23. «L'OS hôte aura ainsi l'illusion que c'est lui qui gère la mémoire. Selon AMD, Nested Paging réduira le temps de compilation des informations de 43 %. Intel devrait proposer une technologie équivalente au second semestre sous le nom de "Extended Paging"» Intel et AMD virtualisent la mémoire et les entrées/sorties
  24. article de pcinpact relatant la démonstration
  25. page officielle d'AMD (attention RTC, site particulièrement lourd)
  26. article de clubic quant aux dernières performances du Barcelona.
  27. AMD fait la démonstration de ses Phenom, et par deux !
  28. AMD lance Tigris, les Turion II passent au K10

Voir aussi

Liens externes


Recherche sur Amazone (livres) :




Ce texte est issu de l'encyclopédie Wikipedia. Vous pouvez consulter sa version originale dans cette encyclopédie à l'adresse http://fr.wikipedia.org/wiki/AMD_K10.
Voir la liste des contributeurs.
La version présentée ici à été extraite depuis cette source le 07/04/2010.
Ce texte est disponible sous les termes de la licence de documentation libre GNU (GFDL).
La liste des définitions proposées en tête de page est une sélection parmi les résultats obtenus à l'aide de la commande "define:" de Google.
Cette page fait partie du projet Wikibis.
Accueil Recherche Aller au contenuDébut page
ContactContact ImprimerImprimer liens d'évitement et raccourcis clavierAccessibilité
Aller au menu